Re: Using the mailbox subsystem for plain doorbells?

From: Jassi Brar
Date: Tue May 10 2016 - 12:16:29 EST


On Tue, May 10, 2016 at 9:26 PM, Stephen Warren <swarren@xxxxxxxxxxxxx> wrote:
> On 05/09/2016 09:29 PM, Jassi Brar wrote:
>
>> Some controllers need a mask/list of destination cpus, to which the
>> irq is raised, written to some 'data' register. You too probably need
>> to program the destination "id" in the controller? Maybe that should
>> be done in send_data().
>
>
> In this case, each mailbox communicates with a different remote CPU, and
> there's a separate register to communicate with each remote CPU. So,
> send_data() completely ignores the data parameter since everything is
> derived from the mailbox's identity.
>
Yes, that is ok. For example, mailbox-sti.c does that.

Cheers!