[PATCH 3/3] leds: pca9532: Change default blinking frequency to 1Hz

From: Bastien Curutchet
Date: Mon May 27 2024 - 09:04:29 EST


Default blinking period is set to 2s. This is too long to be handled by
the hardware (maximum is 1.69s).

Set the default blinking period to 1s to match what is done in the
other led drivers.

Signed-off-by: Bastien Curutchet <bastien.curutchet@xxxxxxxxxxx>
---
drivers/leds/leds-pca9532.c | 4 ++--
1 file changed, 2 insertions(+), 2 deletions(-)

diff --git a/drivers/leds/leds-pca9532.c b/drivers/leds/leds-pca9532.c
index 356b71a4b7ac..5fefcaae7006 100644
--- a/drivers/leds/leds-pca9532.c
+++ b/drivers/leds/leds-pca9532.c
@@ -240,8 +240,8 @@ static int pca9532_set_blink(struct led_classdev *led_cdev,

if (*delay_on == 0 && *delay_off == 0) {
/* led subsystem ask us for a blink rate */
- *delay_on = 1000;
- *delay_off = 1000;
+ *delay_on = 500;
+ *delay_off = 500;
}

led->state = PCA9532_PWM1;
--
2.44.0